xuhaifeng1 发表于 2023-11-10 14:30:54

扩展库使用说明——WS2812

本帖最后由 xuhaifeng1 于 2023-11-14 11:43 编辑

1-模块概述
https://www.haohaodada.com/new/bbs/data/attachment/forum/202207/13/161934t9zvie9c9j9ui9vj.png
WS2812 是一个集控制电路与发光电路于一体的智能外控 LED 光源。其外型与一个5050LED 灯珠相同,每个元件即为一个像素点。像素点内部包含了智能数字接口数据锁存信号整形放大驱动电路,还包含有高精度的内部 振荡器和可编程定电流控制部分,有效保证了像素点光的颜色高度一致。 数据协议采用单线归零码的通讯方式,像素点在上电复位以后,DIN 端接受从控制器传输过来的数据,首先送过来的 24bit 数据被第一个像素点提取后,送到像素点内部的数据锁存器,剩余的数据经过内部整形处理电路整 形放大后通过 DO 端口开始转发输出给下一个级联的像素点,每经过一个像素点的传输,信号减少 24bit。像素点 采用自动整形转发技术,使得该像素点的级联个数不受信号传送的限制,仅受限信号传输速度要求。https://www.haohaodada.com/new/bbs/data/attachment/forum/202207/13/162059y81mza28t828fwza.png

https://www.haohaodada.com/new/bbs/data/attachment/forum/202207/13/162059r7qldls77zqbd4qk.png

2-图形块模块
1.让RGB在某个引脚初始化,可以修改引脚与灯珠个数



2.控制全部RGB灯的亮度



3.控制特定某个灯珠写入固定的几种颜色,同时可以调节亮度



4.控制特定某个灯珠显示(R,G,B)



5.控制特定几个灯珠写入固定的几种颜色,同时可以调节亮度



6.控制特定几个灯珠显示(R,G,B)



7.控制全部灯珠写入固定的几种颜色,同时可以调节亮度



8. 控制全部的灯珠显示(R,G,B)



9.所有的RGB写入后需要添加一条显示指令来让RGB生效



10.控制RGB灯珠清除



11.控制RGB灯珠彩虹循环呼吸效果



12.控制RGB灯珠流光溢彩效果



3-连接说明





4-示例代码
示例代码1 点亮一个彩灯示例代码2 呼吸灯效果
                                                      

示例代码3 流光溢彩效果
                                                         

常见问题及主要事项1、注意连接线路是否正确,杜邦线等确定能正常使用。
2、某些IO被用作特殊功能,如有需要可以关闭特殊功能,复用成普通IO即可。

页: [1]
查看完整版本: 扩展库使用说明——WS2812